Synopsys

Last year, NVIDIA introduced its cuLitho software library, which promises to speed up photomask development by up to 40 times. Today, NVIDIA announced a partnership with TSMC and Synopsys to implement its computational lithography platform for production use, and use the company's next-generation Blackwell GPUs for AI and HPC applications. The development of photomasks is a crucial step for every chip ever made, and NVIDIA's cuLitho platform, enhanced with new generative AI algorithms, significantly speeds up this process. NVIDIA says computational lithography consumes tens of billions of hours per year on CPUs. By leveraging GPU-accelerated computational lithography, cuLitho substantially improves over traditional CPU-based methods. For example, 350 NVIDIA H100 systems can now replace 40,000 CPU systems, resulting in faster production times, lower costs, and reduced...

Synopsys to Acquire Ansys: Set to Offer EDA, Analysis, and Simulation Tools

Synopsys on Tuesday announced that it had reached a definitive agreement to acquire Ansys in a deal valued at $35 billion. Synopsys specializes primarily on electronic design automation (EDA...

0 by Anton Shilov on 1/16/2024

TSMC: Ecosystem for 2nm Chip Development Is Nearing Completion

Speaking to partners last week as part of their annual Open Innovation Platform forum in Europe, a big portion of TSMC's roadshow was dedicated to the next generation of...

20 by Anton Shilov on 10/12/2023

Synopsys Surpasses $500M/Year in AI Chip Revenue, Expects Further Rapid Growth

Demand for generative artificial intelligence (AI) applications is so high that NVIDIA's high-performance compute GPUs like A100 and H100 are reportedly sold out for quarters to come. Dozens of...

5 by Anton Shilov on 8/22/2023

Intel and Synopsys Ink Deal to Develop IP for Intel's 3 and 18A Nodes

Intel and Synopsys this week signed an agreement under which Synopsys will develop a portfolio of various IP offerings for Intel 3 and 18A fabrication technologies for Intel Foundry...

1 by Anton Shilov on 8/15/2023

Dozens of Companies Adopt TSMC's 3nm Process Technology

Designing chips for modern, leading-edge manufacturing technologies is an expensive endeavor. Still, dozens of companies have already adopted TSMCs N3 and N3E (3 nm-class) fabrication processes, according to disclosures...

9 by Anton Shilov on 7/28/2023

Synopsys Intros AI-Powered EDA Suite to Accelerate Chip Design and Cut Costs

Synopsys has introduced the industry's first full-stack AI-powered suite of electronic design automation tools that covers all stages of chip design, from architecture to design and implementation to manufacturing...

12 by Anton Shilov on 3/30/2023

NVIDIA's cuLitho to Speed Up Computational Lithography for 2nm and Beyond

Production of chips using leading-edge process technologies requires more compute power than ever. To address requirements of 2nm nodes and beyond, NVIDIA is rolling out its cuLitho software library...

31 by Anton Shilov on 3/27/2023

Hot Chips 2021 Keynote Live Blog: Designing Chips with AI, Synopsys

Welcome to Hot Chips! This is the annual conference all about the latest, greatest, and upcoming big silicon that gets us all excited. Stay tuned during Monday and Tuesday...

0 by Dr. Ian Cutress on 8/23/2021

Using AI to Build Better Processors: Google Was Just the Start, Says Synopsys

In light of the rate of innovation, chip design teams have spent tens of thousands of hours honing their skills over the decades. But getting the best human-designed processor...

100 by Dr. Ian Cutress on 6/23/2021

New Tools Simplify Development of 2.5D Multi-Die 7nm Designs at Samsung Foundry

Advanced packaging technologies simplify production and increase performance of highly-complex multi-die SoCs as the semiconductor industry is looking at chiplet approach as an alternative to large dies that take...

5 by Anton Shilov on 10/22/2019

Synopsys Demonstrates CXL and CCIX 1.1 over PCIe 5.0: Next-Gen In Action

Synopsys, one of the leading developers of chip development tools and silicon IP, demonstrated its CXL over PCIe 5.0 as well as CCIX 1.1 over PCIe 5.0 solutions at...

5 by Anton Shilov on 10/11/2019

New Tools & IP Accelerate Development of 5nm Arm ‘Hercules’ SoCs

Arm, Synopsys, and Samsung Foundry have developed a set of optimized tools and IP that will enable chip designers to build next-generation SoCs based on Arm’s Hercules processor cores...

9 by Anton Shilov on 10/10/2019

Samsung’s 5nm EUV Technology Gets Closer: Tools by Cadence & Synopsys Certified

Samsung Foundry has certified full flow tools from Cadence and Synopsys for its 5LPE (5 nm low-power early) process technology that uses extreme ultraviolet lithography (EUV). Full flow design...

13 by Anton Shilov on 7/8/2019

Synopsys to Accelerate Samsung’s 7nm Ramp with Yield Explorer Platform

Synopsys has announced an acceleration of development on its yield learning platform designed to speed up ramp up of chips made using Samsung Foundry’s 7LPP (7 nm low power...

16 by Anton Shilov on 7/4/2019

USB 3.2 at 20 Gb/s Coming to High-End Desktops This Year

The USB 3.0 Promoters Group announced its USB 3.2 specification update that increases theoretical performance of a USB 3.2 interface over a Type-C cable to 20 Gbps back in...

62 by Anton Shilov on 2/26/2019

GlobalFoundries and Synopsys Develop Automotive-Grade IP for 22FDX Process Tech

GloalFoundries and Synopsys announced this week that they have jointly developed a portfolio of automotive-gade IP solutions for GF’s 22FDX process technology. The various IP blocks are designed for...

4 by Anton Shilov on 2/22/2019

Log in

Don't have an account? Sign up now