7 nm

GlobalFoundries has announced plans to expand manufacturing capacities for its leading edge and mainstream production technologies in the U.S., Germany and Singapore. After the upgrades of the fabs are completed, the total 300-mm output of the company will increase by approximately 20%. In addition, GlobalFoundries intends to build a new 300mm fab in Chengdu, China, in a partnership with local authorities. The latter will produce chips using 130/180 nm and FD-SOI manufacturing technologies. GlobalFoundries' Expansion Plans Process Technologies current and planned Current Capacity* wafer starts per month Planned Increase Target Capacity* wafer starts per month Target Timeframe Fab 1 (Dresden, Germany) 32 nm SOI 28 nm 22FDX (FD-SOI) 12FDX (FD-SOI) up to 80,000 +40% ~110,000 2020 Fab 7 (Singapore) 130 nm 65/55 nm 40 nm RF-SOI 68,000 +35% for 40 nm Over 68,000 2017~2018 Fabs (Singapore) 180 nm unknown +?% for 180 nm unknown 2017~2018 Fab 8 (New York, USA) 14LPP 7 nm up to 60,000 +20% for 14LPP Over 60,000 Early 2018 Chengdu Fab (China) 180/130 nm 22FDX (FD-SOI) - - Ph. 1...

EUV Lithography Makes Good Progress, Still Not Ready for Prime Time

At the recent annual SPIE Advanced Lithography conference, Intel, TSMC and other leading semiconductor companies said that significant strides have been made in extreme ultraviolet lithography (EUVL) over the...

38 by Anton Shilov on 3/10/2016

Log in

Don't have an account? Sign up now